Photo of John (Jack) Sampson

John (Jack) Sampson

Associate Professor

Affiliation(s):

  • School of Electrical Engineering and Computer Science
  • Computer Science and Engineering

W324 Westgate Building

jms1257@psu.edu

814-865-7496

Research Areas:

Computer Architecture; Integrated Circuits and Systems; Programming Languages and Compilers

 
 

 

Education

  • BS, Electrical Engineering and Computer Sciences, 2002
  • Ph D, Computer Science (Computer Engineering), 2010

Publications

Journal Articles

  • Tianyi Shen, Cyan Subhra Mishra, John Sampson, Mahmut T Kandemir and Vijaykrishnan Narayanan, 2022, "An Efficient Edge-Cloud Partitioning of Random Forests for Distributed Sensor Networks", IEEE Embedded Systems Letters, pp. 4
  • Keni Qiu, Nicholas Jao, Kunyu Zhou, Yongpan Liu, John Sampson, Mahmut T Kandemir and Vijaykrishnan Narayanan, 2021, "MaxTracker: Continuously Tracking the Maximum Computation Progress for Energy Harvesting ReRAM-based CNN Accelerators", ACM Transactions on Embedded Computing Systems (TECS), 20, (5s), pp. 1--23
  • Akshay Krishna Ramanathan, Srivatsa Srinivasa Rangachar, Hariram Thirucherai Govindarajan, Je-Min Hung, Chun-Ying Lee, Cheng-Xin Xue, Sheng-Po Huang, Fu-Kuo Hsueh, Chang-Hong Shen, Jia-Min Shieh, Wen-Kuan Yeh, Mon-Shu Ho, John Sampson, Meng-Fan Chang and Vijaykrishnan Narayanan, 2021, "CiM3D: Comparator-in-Memory Designs Using Monolithic 3-D Technology for Accelerating Data-Intensive Applications", IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, 7, (1), pp. 79--87
  • Skyler Anderson, Nagadastagiri Challapalle, John Sampson and Vijaykrishnan Narayanan, 2019, "Adaptive Neural Network Architectures for Power Aware Inference", IEEE Design \& Test
  • Jinhang Choi, Zeinab Hakimi, John Sampson and Vijaykrishnan Narayanan, 2019, "Byzantine-Tolerant Inference in Distributed Deep Intelligent System: Challenges and Opportunities", IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 9, (3), pp. 509--519
  • Srivatsa Srinivasa, Akshay Krishna Ramanathan, Xueqing Li, Wei-Hao Chen, Sumeet Gupta, Meng-Fan Chang, Swaroop Ghosh, Jack Sampson and Vijaykrishnan Narayanan, 2019, "Robin: Monolithic-3d sram for enhanced robustness with in-memory computation support", IEEE Transactions on Circuits and Systems I: Regular Papers, 66, (7), pp. 2533--2545
  • Xueqing Li, Juejian Wu, Kai Ni, Sumitha George, Kaisheng Ma, John Sampson, Sumeet Gupta, Yongpan Liu, Huazhong Yang, Suman Datta and others, 2019, "Design of 2t/cell and 3t/cell nonvolatile memories with emerging ferroelectric fets", IEEE Design \& Test, 36, (3), pp. 39--45
  • Kaisheng Ma, Jinyang Li, Xueqing Li, Yongpan Liu, Yuan Xie, Mahmut T Kandemir, John Sampson and Vijaykrishnan Narayanan, 2018, "IAA: Incidental Approximate Architectures for Extremely Energy Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors", IEEE Micro, pp. 11-19
  • X. Li, S. George, Y. Liang, K. Ma, K. Ni, A. Aziz, Sumeet Gupta, John Sampson, M. F. Chang, Y. Liu, H. Yang, S. Datta and Vijaykrishnan Narayanan, 2018, "Lowering Area Overheads for FeFET-Based Energy-Efficient Nonvolatile Flip-Flops", IEEE Transactions on Electron Devices, 65, (6), pp. 2670-2674
  • Srivatsa Srinivasa, Xueqing Li, Meng-Fan Chang, John Sampson, Sumeet Gupta and Vijaykrishnan Narayanan, 2018, "Compact 3D-SRAM Memory with Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3D integration", IEEE Transactions on VLSI, 26, (4), pp. 671-683
  • Sumitha George, Xueqing Li, Minli Liao, Kaisheng Ma, Srivatsa Srinivasa, Karthik Mohan, Ahmedullah Aziz, John Sampson, Sumeet Gupta and Vijaykrishnan Narayanan, 2018, "Symmetric 2D-Memory Access to Multi-Dimensional Data", IEEE Transactions on VLSI, 26, (6), pp. 1040-1050
  • Xueqing Li, John Sampson, Asif Khan, Kaisheng Ma, Sumitha George, Ahmedullah Aziz, Sumeet Gupta, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta and Vijaykrishnan Narayanan, 2017, "Enabling Energy-Efficient Nonvolatile Computing With Negative Capacitance FET", IEEE Transactions on Electron Devices, 64, (8), pp. 3452–3458
  • Xueqing Li, Kaisheng Ma, Sumitha George, Win-San Khwa, John Sampson, Sumeet Gupta, Yongpan Liu, Meng-Fan Chang, Suman Datta and Vijaykrishnan Narayanan, 2017, "Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore", IEEE Transactions on Electron Devices, 64, (7), pp. 3037-3040
  • Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta and Vijaykrishnan Narayanan, 2017, "Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops", IEEE Transactions on Circuits and Systems I: Regular Papers, 64, (11), pp. 2907-2919
  • Wei-Yu Tsai, Davis Barch, Andrew Cassidy, Michael DeBole, Alexander Andreopoulos, Bryan Jackson, Myron Flickner, John Arthur, Dharmendra Modha, John Sampson and Vijaykrishnan Narayanan, 2017, "Always-on Speech Recognition using Low-power Audio Transform with TrueNorth Ecosystem", IEEE Transactions on Computing, 66, (6), pp. 996-1007
  • Siddharth Advani, Peter Zientara, Nikhil Shukla, Ikenna Okafor, Kevin M Irick, John Sampson, Suman Datta and Vijaykrishnan Narayanan, 2017, "A Multitask Grocery Assist System for the Visually Impaired: Smart glasses, gloves, and shopping carts provide auditory and tactile feedback", IEEE Consumer Electronics Magazine, 6, (1), pp. 73–81
  • Srivatsa Srinivasa, Ahmedullah Aziz, Nikhil Shukla, Xueqing Li, Jack Sampson, Suman Datta, Jaydeep Kulkarni, Vijaykrishnan Narayanan and Sumeet Gupta, 2016, "Correlated Material Enhanced SRAMs with Robust Low Power Operation", IEEE Transactions on Electron Devices, 63, (12), pp. 4744-4752
  • Yang Xiao, Siddharth Advani, Donghwa Shin, Naehyuck Chang, John Sampson and Vijaykrishnan Narayanan, 2016, "A Saliency-Driven LCD Power Management System", IEEE Transactions on Very Large Scale Integration, 24, (8), pp. 2689-2702
  • Moon Kim, William Cane-Wissing, Xueqing Li, John Sampson, Suman Datta, Sumeet K Gupta and Vijaykrishnan Narayanan, 2016, "Comparative area and parasitics analysis in FinFET and heterojunction vertical TFET standard cells", ACM Journal on Emerging Technologies in Computing Systems, 12, (4), pp. 1-23
  • Moon Kim, Xueqing Li, Huichu Liu, John Sampson, Suman Datta and Vijaykrishnan Narayanan, 2016, "Exploration of Low-Power High-SFDR Current-Steering D/A Converter Design Using Steep-Slope Heterojunction Tunnel FETs", Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 24, (6), pp. 2299-2309
  • Kaisheng Ma, Xueqing Li, Shuangchen Li, Yongpan Liu, John Sampson, Yuan Xie and Vijaykrishnan Narayanan, 2016, "Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power", IEEE MICRO, 36, (3), pp. 11
  • Wei-Yu Tsai, Xueqing Li, Matt Jerry, B. Xie, Nikhil Shukla, H. Liu, Nandhini Chandramoorthy, Matthew Cotter, A. Raychowdhury, Don Chiarulli, Steven P. Levitan, Suman Datta, John Sampson, N. Ranganathan and Vijaykrishnan Narayanan, 2016, "Enabling New Computation Paradigms with HyperFET - an Emerging Device", IEEE Transactions on Multi-Scale Computing Systems, 2, (1), pp. 30-48
  • Kaisheng Ma, Xueqing Li, Shuangchen Li, Yongpan Liu, John Sampson, Yuan Xie and Vijaykrishnan Narayanan, 2015, "Nonvolatile Processor Architecture Exploration for Energy-Harvesting Applications", IEEE MICRO, 35, pp. 32-40
  • Hsiang-Yun Cheng, Matthew Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T Kandemir, John Sampson and Yuan Xie, 2015, "EECache: exploiting design choices in energy-efficient last-levelcaches for chip multiprocessors", ACM Transactions on Architecture and Code Optimization, 12, (2), pp. 1-22
  • Qiaoshi Zheng, Nathan Goulding-Hotta, Scott Ricketts, Steven Swanson, Michael Bedford Taylor and John Sampson, 2014, "Exploring Energy Scalability in Coprocessor-Dominated Architectures for Dark Silicon", ACM Transactions on Embedded Computing Systems, 13, (4s), pp. 130:1–130:24
  • Nathan Goulding-Hotta, John Sampson, Ganesh Venkatesh, Saturnino Garcia, Joe Auricchio, Po-Chao Huang, Manish Arora, Siddhartha Nath, Vikram Bhatt, Jonathan Babb, Steven Swanson and Michael Bedford Taylor, 2011, "The GreenDroid Mobile Application Processor: An Architecture for Silicon’s Dark Future", IEEE MICRO, 31, (2), pp. 86–95

Conference Proceedings

  • Aditya Basu, John Sampson, Zhiyun Qian and Trent R Jaeger, 2023, "Unsafe at any copy: name collisions from mixing case sensitivities", pp. 183--198
  • Saambhavi Baskaran, Mahmut T Kandemir and John Sampson, 2022, "An architecture interface and offload model for low-overhead, near-data, distributed accelerators", pp. 1160--1177
  • Sethu Jose, John Sampson, Vijaykrishnan Narayanan and Mahmut T Kandemir, 2022, "A Scheduling Framework for Decomposable Kernels on Energy Harvesting IoT Edge Nodes", pp. 91--96
  • Kaiming Huang, Yongzhe Huang, Mathias Payer, Zhiyun Qian, John Sampson, Gang Tan and Trent R Jaeger, 2022, "The taming of the stack: Isolating stack data from memory errors", pp. 17
  • Vineetha Govindaraj, Sumitha George, Mahmut T Kandemir, John Sampson and Vijaykrishnan Narayanan, 2021, "PowerPrep: A power management proposal for user-facing datacenter workloads", pp. 1--7
  • Huaipan Jiang, Haibo Zhang, Xulong Tang, Vineetha Govindaraj, John Sampson, Mahmut T Kandemir and Danfeng Zhang, 2021, "Fluid: a framework for approximate concurrency via controlled dependency relaxation", pp. 252--267
  • Cyan Subhra Mishra, John Sampson, Mahmut T Kandemir and Vijaykrishnan Narayanan, 2021, "Origin: Enabling On-Device Intelligence for Human Activity Recognition Using Energy Harvesting Wireless Sensor Networks", pp. 1414--1419
  • Akshay Krishna Ramanathan, Srivatsa Srinivasa Rangachar, Je-Min Hung, Chun-Ying Lee, Cheng-Xin Xue, Sheng-Po Huang, Fu-Kuo Hsueh, Chang-Hong Shen, Jia-Min Shieh, Wen-Kuan Yeh, Mon-Shu Ho, Hariram Thirucherai Govindarajan, John Sampson, Meng-Fan Chang and Vijaykrishnan Narayanan, 2020, "Monolithic 3D-IC Based Massively Parallel Compute-in-Memory Macro for Accelerating Database and Machine Learning Primitives", pp. 1--4
  • Minli Julie Liao and John Sampson, 2020, "D-SOAP: Dynamic Spatial Orientation Affinity Prediction for Caching in Multi-Orientation Memory Systems", pp. 1--15
  • Saambhavi Baskaran and John Sampson, 2020, "Decentralized Offload-based Execution on Memory-centric Compute Cores", pp. 61--76
  • Eric Homan, Chonghan Lee, John Sampson, John Sustersic and Vijaykrishnan Narayanan, 2020, "DoubtNet: Using Semantic Context to Enable Adaptive Inference for the IoT", pp. 586--591
  • Nagadastagiri Challapalle, Sahithi Rampalli, Linghao Song, Nandhini Chandramoorthy, Karthik Swaminathan, John Sampson, Yiran Chen and Vijaykrishnan Narayanan, 2020, "GaaS-X: graph analytics accelerator supporting sparse data representation using crossbar architectures", pp. 433--445
  • Sumitha George, Nicolas Jao, Akshay Krishna Ramanathan, Xueqing Li, Sumeet Gupta, John Sampson and Vijaykrishnan Narayanan, 2020, "Integrated CAM-RAM Functionality using Ferroelectric FETs", pp. 81--86
  • Nagadastagiri Challapalle, Sahithi Rampalli, Makesh Chandran, Gurpreet Kalsi, Sreenivas Subramoney, John Sampson and Vijaykrishnan Narayanan, 2020, "PSB-RNN: a processing-in-memory systolic array architecture using block circulant matrices for recurrent neural networks", pp. 180--185
  • Keni Qiu, Nicholas Jao, Mengying Zhao, Cyan Mishra, Gulsum Gudukbay, Sethu Jose, John Sampson, Mahmut T Kandemir and Vijaykrishnan Narayanan, 2020, "ResiRCA: A Resilient Energy Harvesting ReRAM-based Accelerator for Intelligent Embedded Processors", IEEE Computer Society, pp. 13
  • Minli Liao and Jack Sampson, 2020, "Emerging memories as enablers for in-memory layout transformation acceleration and virtualization", pp. 414--421
  • Philip W Shin, Jack Sampson and Vijaykrishnan Narayanan, 2019, "Context-Aware Collaborative Object Recognition For Distributed Multi Camera Time Series Data", pp. 154--161
  • Jinhang Choi, Zeinab Hakimi, Philip W Shin, Jack Sampson and Vijaykrishnan Narayanan, 2019, "Context-aware convolutional neural network over distributed system in collaborative computing", pp. 1--6
  • Srivatsa Srinivasa, Wei-Hao Chen, Yung-Ning Tu, Meng-Fan Chang, Jack Sampson and Vijaykrishnan Narayanan, 2019, "Monolithic-3D Integration Augmented Design Techniques for Computing in SRAMs", pp. 1--5
  • Nicholas Jao, Akshay Krishna Ramanathan, Abhronil Sengupta, John Sampson and Vijaykrishnan Narayanan, 2019, "Programmable Non-Volatile Memory Design Featuring Reconfigurable In-Memory Operations", pp. 1--5
  • Sumitha George, Minli Liao, Huaipan Jiang, Jagadish Kotra, Mahmut T Kandemir, John Sampson and Vijaykrishnan Narayanan, 2018, "MDACache: Caching for Multi-Dimensional-Access Memories", ACM, pp. 1-14
  • Jinhang Choi, John Sampson and Vijaykrishnan Narayanan, 2018, "Heuristic Approximation of Early-Stage CNN Data Representation for Vision Intelligence Systems", IEEE Computer Society, pp. 1-8
  • Peter Zientara, John Sampson and Vijaykrishnan Narayanan, 2018, "Noise Aware Power Adaptive Partitioned Deep Networks for Mobile Visual Assist Platforms", IEEE, pp. 1-6
  • Srivatsa Srinivasa, Akshay Ramanathan, Xueqing Li, Wei-Hao Chen, Fu-Kuo Hsueh, Chih-Chao Yang, Chang-Hong Shen, Jia-Min Shieh, Sumeet Gupta, Marvin Chang, Swaroop Ghosh, John Sampson and Vijaykrishnan Narayanan, 2018, "A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support", ACM, pp. 1-6
  • Jinhang Choi, Srivatsa Srinivasa, Yasuki Tanabe, John Sampson and Vijaykrishnan Narayanan, 2018, "A Power-efficient Hybrid Architecture Design for Image Recognition using CNNs", IEEE Computer Society, pp. 22-27
  • Nicholas Jao, Akshay Ramanathan, Srivatsa Srinivasa, Sumitha George, John Sampson and Vijaykrishnan Narayanan, 2018, "Harnessing Emerging Technology for Compute-In-Memory Support", IEEE Computer Society, pp. 447-452
  • J. Choi, Kevin M Irick, J. Hardin, W. Qiu, A. Yuille, John Sampson and Vijaykrishnan Narayanan, 2018, "Stochastic Functional Verification of DNN Design through Progressive Virtual Dataset Generation", IEEE, pp. 1-5
  • Kaisheng Ma, Jinyang Li, Tongda Wu, Zhibo Wang, Xueqing Li, Yongpan Liu, Yuan Xie, Mahmut T Kandemir, John Sampson and Vijaykrishnan Narayanan, 2018, "NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing", ACM, pp. 782-796
  • P. A. Zientara, J. Choi, John Sampson and Vijaykrishnan Narayanan, 2018, "Drones as collaborative sensors for image recognition", IEEE, pp. 1-4
  • Kaisheng Ma, Xueqing Li, , Yongpan Liu, Yuan Xie, Mahmut T Kandemir, John Sampson and Vijaykrishnan Narayanan, 2017, "Incidental Approximate Computing on IoT Nonvolatile Processors", ACM, pp. 1-15
  • Srivatsa Rangachar Srinivasa, Karthik Mohan, Wei-Hao Chen, Kuo-Hsinag Hsu, Xueqing Li, Meng-Fan Chang, Sumeet Gupta, John Sampson and Vijaykrishnan Narayanan, 2017, "Improving FPGA Design with Monolithic 3D Integration Using High Dense Inter-Stack Via", IEEE Computer Society, pp. 128–133
  • Wei-Yu Tsai, Jinhang Choi, Tulika , Priyanka , Chitaranjan Das, John Sampson and Vijaykrishnan Narayanan, 2017, "Co-training of Feature Extraction and Classification using Partitioned Convolutional Neural Networks", ACM, pp. 1-6
  • Kaisheng Ma, Xueqing Li, Srivatsa Srinivasa, Yongpan Liu, John Sampson, Yuan Xie and Vijaykrishnan Narayanan, 2017, "Spendthrift: Machine Learning Based Resource and Frequency Scaling for Ambient Energy Harvesting Nonvolatile Processors", IEEE, pp. 678-683
  • Wei-Yu Tsai, Davis Barch, Andrew Cassidy, Michael DeBole, Alexander Andreopoulos, Bryan Jackson, Myron Flickner, Dharmendra Modha, John Sampson and Vijaykrishnan Narayanan, 2016, "LATTE: Low-power Audio Transform with TrueNorth Ecosystem", IEEE, pp. 4270-4277
  • Sumitha George, Ahmedullah Aziz, Xueqing Li, Moon Kim, Suman Datta, John Sampson, Sumeet Gupta and Vijaykrishnan Narayanan, 2016, "Device Circuit Co Design of FEFET Based Logic for Low Voltage Processors", IEEE Computer Society, pp. 649-654
  • Meng-Fan Chang, Ching-Hao Chuang, Yeh-Ning Chiang, Shyh-Shyuan Sheu, Chia-Chen Kuo, Hsiang-Yun Cheng, John Sampson and Mary Jane Irwin, 2016, "Designs of emerging memory based non-volatile TCAM for Internet-of-Things (IoT) and big-data processing: A 5T2R universal cell", IEEE, pp. 1142-1145
  • Kaisheng Ma, Xueqing Li, Yongpan Liu, John Sampson, Yuan Xie and Vijaykrishnan Narayanan, 2015, "Dynamic Machine Learning Based Matching of Nonvolatile Processor Microarchitecture to Harvested Energy Profile", Institute of Electrical and Electronics Engineers Inc, pp. 670–675
  • Siddharth Advani, Brigid Smith, Yasuki Tanabe, Kevin M Irick, Matthew Cotter, John Sampson and Vijaykrishnan Narayanan, 2015, "Visual co-occurrence network: using context for large-scale object recognition in retail", IEEE, pp. 1–10
  • Siddharth Advani, Yasuki Tanabe, Kevin M Irick, John Sampson and Vijaykrishnan Narayanan, 2015, "A scalable architecture for multi-class visual object detection", pp. 1–8
  • Moon Seok Kim, William Cane-Wissing, John Sampson, Suman Datta, Vijaykrishnan Narayanan and Sumeet Gupta, 2015, "Comparing Energy, Area, Delay Tradeoffs in Going Vertical with CMOS and Asymmetric HTFETs", IEEE Computer Society, pp. 303–308
  • Kaisheng Ma, Nandhini Chandramoorthy, Xueqing Li, Sumeet Gupta, John Sampson, Yuan Xie and Vijaykrishnan Narayanan, 2015, "Using Multiple-Input NEMS for Parallel A/D Conversion and ImageProcessing", pp. 339–344
  • Yongpan Liu, Meng-Fan Chang, Zewei Li, Hehe Li, Yiqun Wang, John Sampson, Xueqing Li, Yuan Xie, Jiwu Shu, Kaisheng Ma, Huazhong Yang and Shuangchen Li, 2015, "Ambient Energy Harvesting Nonvolatile Processors: From Circuit to System", ACM, pp. 1-6
  • Hsiang-Yun Cheng, Jia Zhan, Jishen Zhao, Yuan Xie, John Sampson and Mary Jane Irwin, 2015, "Core vs. uncore: the heart of darkness", ACM, pp. 121:1–121:6
  • Nandhini Chandramoorthy, Giuseppe Tagliavini, Kevin M Irick, Antonio Pullini, Siddharth Advani, Sulaiman Al Habsi, Matthew Cotter, John Sampson, Vijaykrishnan Narayanan and Luca Benini, 2015, "Exploring architectural heterogeneity in intelligent vision systems", IEEE, pp. 1–12
  • Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, John Sampson, Yuan Xie and Vijaykrishnan Narayanan, 2015, "Architecture exploration for ambient energy harvesting nonvolatile processors", IEEE, pp. 526–537
  • Karthik Swaminathan, Jagadish Kotra, Huichu Liu, John Sampson, Mahmut T Kandemir and Vijaykrishnan Narayanan, 2015, "Thermal-Aware Application Scheduling on Device-Heterogeneous EmbeddedArchitectures", pp. 221–226
  • Matthew Cotter, Siddharth Advani, John Sampson, Kevin M Irick and Vijaykrishnan Narayanan, 2014, "A hardware accelerated multilevel visual classifier for embedded visual-assist systems", IEEE/ACM, pp. 96–100
  • Siddharth Advani, Nandhini Chandramoorthy, Karthik Swaminathan, Kevin M Irick, Yong Cheol Peter Cho, John Sampson and Vijaykrishnan Narayanan, 2014, "Refresh Enabled Video Analytics (REVA): Implications on power and performance of DRAM supported embedded visual systems", IEEE, pp. 501–504
  • Chris S. Lee, Kevin M Irick, John Sampson, Chuanjun Zhang and Vijaykrishnan Narayanan, 2014, "Exploiting natural redundancy in visual information", IEEE, pp. 505–508
  • Hsiang-Yun Cheng, Matthew Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T Kandemir, John Sampson and Yuan Xie, 2014, "EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors", ACM, pp. 303–306
  • Karthik Swaminathan, Huichu Liu, Xueqing Li, Moon Seok Kim, John Sampson and Vijaykrishnan Narayanan, 2014, "Steep Slope Devices: Enabling New Architectural Paradigms", ACM, pp. 1–6
  • Karthik Swaminathan, Huichu Liu, John Sampson and Vijaykrishnan Narayanan, 2014, "An examination of the architecture and system-level tradeoffs of employing steep slope devices in 3D CMPs", IEEE Computer Society, pp. 241–252
  • Yang Xiao, Kevin M Irick, John Sampson, Vijaykrishnan Narayanan and Chuanjun Zhang, 2014, "A task-oriented vision system", ACM, pp. 181–186
  • Anshuman Gupta, John Sampson and Michael Bedford Taylor, 2014, "Quality Time: A simple online technique for quantifying multicore execution efficiency", IEEE, pp. 169–179
  • Karthik Swaminathan, Moon Seok Kim, Nandhini Chandramoorthy, Behnam Sedighi, Robert Perricone, John Sampson and Vijaykrishnan Narayanan, 2014, "Modeling steep slope devices: From circuits to architectures", IEEE, pp. 1–6
  • Anshuman Gupta, John Sampson and Michael Bedford Taylor, 2013, "DR-SNUCA: An energy-scalable dynamically partitioned cache", IEEE Computer Society, pp. 515–518
  • A. Gupta, John Sampson and M. Bedford Taylor, 2013, "TimeCube: A Manycore Embedded Processor with Interference-Agnostic Progress Tracking", pp. 227-236
  • Vasileios Kontorinis, Liuyi Eric Zhang, Baris Aksanli, John Sampson, Houman Homayoun, Eddie Pettis, Dean M. Tullsen and Tajana Simunic Rosing, 2012, "Managing distributed UPS energy for effective power capping in datacenters", IEEE Computer Society, pp. 488–499
  • Nathan Goulding-Hotta, John Sampson, Qiaoshi Zheng, Vikram Bhatt, Joe Auricchio, Steven Swanson and Michael Bedford Taylor, 2012, "GreenDroid: An architecture for the Dark Silicon Age", IEEE, pp. 100–105
  • Ganesh Venkatesh, John Sampson, Nathan Goulding-Hotta, Sravanthi Kota Venkata, Michael Bedford Taylor and Steven Swanson, 2011, "QsCores: trading dark silicon for scalable energy efficiency with quasi-specific cores", ACM, pp. 163–174
  • John Sampson, Manish Arora, Nathan Goulding-Hotta, Ganesh Venkatesh, Jonathan Babb, Vikram Bhatt, Steven Swanson and Michael Bedford Taylor, 2011, "An Evaluation of Selective Depipelining for FPGA-Based Energy-Reducing Irregular Code Coprocessors", IEEE, pp. 24–29
  • Manish Arora, John Sampson, Nathan Goulding-Hotta, Jonathan Babb, Ganesh Venkatesh, Michael Bedford Taylor and Steven Swanson, 2011, "Reducing the Energy Cost of Irregular Code Bases in Soft Processor Systems", IEEE Computer Society, pp. 210–213
  • John Sampson, Ganesh Venkatesh, Nathan Goulding-Hotta, Saturnino Garcia, Steven Swanson and Michael Bedford Taylor, 2011, "Efficient complex operators for irregular codes", IEEE Computer Society, pp. 491–502
  • Nathan Goulding, John Sampson, Ganesh Venkatesh, Saturnino Garcia, Joe Auricchio, Jonathan Babb, Michael Taylor and Steven Swanson, 2010, "GreenDroid: A Mobile Application Processor for a Future of Dark Silicon", Publisher: Institute of Electrical and Electronics Engineers Inc, pp. 1-36
  • Ganesh Venkatesh, John Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson and Michael Bedford Taylor, 2010, "Conservation cores: reducing the energy of mature computations", ACM, pp. 205–218
  • John Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker and Brad Calder, 2006, "Exploiting Fine-Grained Data Parallelism with Chip Multiprocessors and Fast Barriers", IEEE Computer Society, pp. 235–246
  • C. Lemuet, John Sampson, J.-F. Collard and N. Jouppi, 2006, "The Potential Energy Efficiency of Vector Acceleration", IEEE, pp. 1-10
  • Weihaw Chuang, Satish Narayanasamy, Ganesh Venkatesh, John Sampson, Michael Van Biesbrouck, Gilles Pokam, Brad Calder and Osvaldo Colavin, 2006, "Unbounded page-based transactional memory", ACM, pp. 347–358
  • Erez Perelman, Marzia Polito, Jean-Yves Bouguet, John Sampson, Brad Calder and Carole Dulong, 2006, "Detecting phases in parallel applications on shared memory architectures", IEEE, pp. 1-12
  • John Sampson and Rubén González, 2005, "Fast Synchronization for Chip Multiprocessors", pp. 64-69
  • Lieven Eeckhout, John Sampson and Brad Calder, 2005, "Exploiting program microarchitecture independent characteristics and phase behavior for reduced benchmark suite simulation", pp. 2–12
  • Jeremy Lau, John Sampson, Erez Perelman, Greg Hamerly and Brad Calder, 2005, "The Strong correlation Between Code Signatures and Performance", IEEE Computer Society, pp. 236–247

Other

  • Brigid Smith, Siddharth Advani, Matthew Cotter, Kevin M Irick, John Sampson and Vijaykrishnan Narayanan, 2015, "Using a Visual Co-occurrence Network (ViCoNet) for Large-scale Object Classification", pp. 1-6
  • Vikram Bhatt, Nathan Goulding-Hotta, Qiaoshi Zheng, John Sampson, Steven Swanson and Michael Bedford Taylor, 2012, "Sichrome: Mobile web browsing in hardware to save energy", pp. 1-2

Research Projects

Honors and Awards

Service

Service to Penn State:

Service to External Organizations:

 


 

About

The School of Electrical Engineering and Computer Science was created in the spring of 2015 to allow greater access to courses offered by both departments for undergraduate and graduate students in exciting collaborative research fields.

We offer B.S. degrees in electrical engineering, computer science, computer engineering and data science and graduate degrees (master's degrees and Ph.D.'s) in electrical engineering and computer science and engineering. EECS focuses on the convergence of technologies and disciplines to meet today’s industrial demands.

School of Electrical Engineering and Computer Science

The Pennsylvania State University

207 Electrical Engineering West

University Park, PA 16802

814-863-6740

Department of Computer Science and Engineering

814-865-9505

Department of Electrical Engineering

814-865-7667